sigactionhandler_t

Standard signal action handler prototype

Declaration

Source position: signal.inc line 224

Type
sigactionhandler\_t = procedure(signal: LongInt; info: psiginfo; 
                               context: PSigContext)