Test suite results for test file test/cg/tis.pp

Test run data :

Free Pascal Compiler Test Suite Results

View Test suite results

Please specify search criteria:
File:
Operating system:
Processor:
Version
Date
Submitter
Machine
Comment
Limit
Cond
Category
Only failed tests
Hide skipped tests
List all tests

Test file "test/cg/tis.pp" information:

t_id 186
t_adddate 2003/10/03
t_result 0
t_knownrunerror 0

Detailed test run results:

Record count: 50

Total = 50

OK=50 Percentage= 100.00

Result type Cat. Count Percentage First date Last Date
Successfully run 50 100.0 2024/05/20 23:37:00 42 2024/05/21 01:32:00 28
i386 34 68.0 2024/05/21 00:08:00 23 2024/05/21 01:32:00 28
sparc 4 8.0 2024/05/20 23:37:00 42 2024/05/20 23:55:00 41
x86_64 7 14.0 2024/05/20 23:44:00 14 2024/05/21 01:25:00 26
powerpc64 2 4.0 2024/05/21 01:10:00 101 2024/05/21 01:11:00 108
aarch64 3 6.0 2024/05/21 00:12:00 32 2024/05/21 01:29:00 35
linux 46 92.0 2024/05/20 23:44:00 14 2024/05/21 01:32:00 28
solaris 4 8.0 2024/05/20 23:37:00 42 2024/05/20 23:55:00 41
3.3.1 30 60.0 2024/05/20 23:37:00 42 2024/05/21 01:32:00 28
3.2.3 20 40.0 2024/05/20 23:47:00 40 2024/05/21 01:30:00 34

Source:

{****************************************************************}
{  CODE GENERATOR TEST PROGRAM                                   }
{****************************************************************}
{ NODE TESTED : secondis()                                       }
{****************************************************************}
{ PRE-REQUISITES: secondload()                                   }
{                 secondassign()                                 }
{                 secondcalln()                                  }
{                 secondinline()                                 }
{                 secondadd()                                    }
{****************************************************************}
{ DEFINES:                                                       }
{****************************************************************}
{ REMARKS:                                                       }
{****************************************************************}
program tis;

{$mode objfpc}


type
{$ifndef fpc}
  smallint = integer;
{$endif}

 tclass1 = class
 end;


 tclass2 = class(tclass1)
 end;

 tclass3 = class
 end;



var
 myclass1 : tclass1;
 myclass2 : tclass2;
 myclass3 : tclass3;
 class1 : class of tclass1;


procedure fail;
begin
  WriteLn('Failure.');
  halt(1);
end;



  function getclass1 : tclass1;
   begin
     getclass1:=myclass1;
   end;

  function getclass2 : tclass2;
   begin
     getclass2:=myclass2;
   end;

  function getclass3 : tclass3;
   begin
     getclass3:=myclass3;
   end;

{ possible types : left : LOC_REFERENCE, LOC_REGISTER }
{ possible types : right : LOC_REFERENCE, LOC_REGISTER }
var
 failed : boolean;
 myclass4 : class of tclass1;
begin
  failed := false;
  { create class instance }
  myclass1:=tclass1.create;
  myclass2:=tclass2.create;
  myclass3:=tclass3.create;
  {if myclass1 is tclass1 }
  Write('Testing left/right : LOC_REGISTER/LOC_REGISTER...');
  if not(getclass1 is tclass1) then
    failed := true;
  if (getclass1 is tclass2) then
    failed := true;
  if not (getclass2 is tclass2) then
    failed := true;
  if (getclass1 is tclass2) then
    failed := true;

  if failed then
    Fail
  else
    WriteLn('Passed!');

  failed := false;
  Write('Testing left/right : LOC_REFERENCE/LOC_REGISTER...');
  if not(myclass1 is tclass1) then
    failed := true;
  if (myclass1 is tclass2) then
    failed := true;
  if not (myclass2 is tclass2) then
    failed := true;
  if (myclass1 is tclass2) then
    failed := true;

  if failed then
    Fail
  else
    WriteLn('Passed!');


  failed := false;
  Write('Testing left/right : LOC_REFERENCE/LOC_REFERENCE...');
  if (myclass1 is class1) then
    failed := true;
  if failed then
    Fail
  else
    WriteLn('Passed!');
end.

{

 $Log: tis.pp,v $
 Revision 1.3  2002/09/07 15:40:56  peter
   * old logs removed and tabs fixed

 Revision 1.2  2002/03/22 21:32:23  carl
 + added test LOC_REFERENCE/LOC_REFERENCE (thanks to Florian)

 Revision 1.1  2002/03/21 20:16:23  carl
 + is operator testing


}

Link to SVN view of test/cg/tis.pp source.