Test suite results for test file test/cg/tclacla1.pp

Test run data :

Run ID:
Operating system: linux
Processor: mips
Version: 3.3.1
Fails/OK/Total: 49/9162/9211
Version: 3.3.1
Full version: 3.3.1-15675-g485b31de215
Comment: -Fl/usr/lib/gcc/mips-linux-gnu/8 -k-m -kelf32btsmip -Fl/usr/lib/gcc/mips-linux-gnu/8 -Fl/usr/lib32 -Fl/lib32 -Fl/usr/lib/mips-linux-gnu -O2 -Fd
Machine: cfarm230
Category: 1
SVN revisions: 9b1861a1049:d6cf3c98d0e:e657d6a07df:485b31de215
Submitter: muller
Date: 2024/05/08 16:39:00 <> 2024/05/06
Previous run: 944872
Next run: 954182

Hide skipped tests

Hide successful tests

Test file "test/cg/tclacla1.pp" information:

t_id 166
t_adddate 2003/10/03
t_result 0
t_knownrunerror 0

Detailed test run results:

tr_idruntr_oktr_skiptr_result
525592920948213TrueFalseSuccessfully run

Record count: 1

No log of 948213.

Source:


{$mode objfpc}

type
   tbaseclass = class
     x : longint;
     class procedure virtual_class_method; virtual;
     class procedure call_virtual_class_method;
   end;

   tderivedclass = class(tbaseclass)
     y : longint;
     class procedure virtual_class_method; override;
   end;

const
  tbasecalled : boolean = false;
  tderivedcalled : boolean = false;
  has_error : boolean = false;
procedure tbaseclass.virtual_class_method;

begin
  Writeln('Calling tbase class class method');
  tbasecalled:=true;
end;

class procedure tbaseclass.call_virtual_class_method;
begin
  virtual_class_method;
end;

procedure tderivedclass.virtual_class_method;

begin
  Writeln('Calling tderived class class method');
  tderivedcalled:=true;
end;

procedure reset_booleans;
begin
  tbasecalled:=false;
  tderivedcalled:=false;
end;

var
  c1,cb : tbaseclass;
  cd : tderivedclass;
  cc : class of tbaseclass;

begin
 cb:=tbaseclass.create;
 cd:=tderivedclass.create;
 c1:=tbaseclass.create;

 tbaseclass.virtual_class_method;
 if not tbasecalled then
   has_error:=true;
 reset_booleans;

 tbaseclass.call_virtual_class_method;
 if not tbasecalled then
   has_error:=true;
 reset_booleans;

 tderivedclass.virtual_class_method;
 if not tderivedcalled then
   has_error:=true;
 reset_booleans;

 tderivedclass.call_virtual_class_method;
 if not tderivedcalled then
   has_error:=true;
 reset_booleans;

 c1.virtual_class_method;
 if not tbasecalled then
   has_error:=true;
 reset_booleans;

 c1.call_virtual_class_method;
 if not tbasecalled then
   has_error:=true;
 reset_booleans;

 c1.destroy;

 c1:=tderivedclass.create;

 c1.virtual_class_method;
 if not tderivedcalled then
   has_error:=true;
 reset_booleans;

 c1.call_virtual_class_method;
 if not tderivedcalled then
   has_error:=true;
 reset_booleans;

 c1.destroy;

 cc:=tbaseclass;

 cc.virtual_class_method;
 if not tbasecalled then
   has_error:=true;
 reset_booleans;

 cc.call_virtual_class_method;
 if not tbasecalled then
   has_error:=true;
 reset_booleans;

 cc:=tderivedclass;

 cc.virtual_class_method;
 if not tderivedcalled then
   has_error:=true;
 reset_booleans;

 cc.call_virtual_class_method;
 if not tderivedcalled then
   has_error:=true;
 reset_booleans;

 if has_error then
   begin
     Writeln('Error with class methods');
     halt(1);
   end;

end.

Link to SVN view of test/cg/tclacla1.pp source.