Test suite results for test file tbs/tb0101.pp

Test run data :

Run ID:
Operating system: linux
Processor: i386
Version: 3.3.1
Fails/OK/Total: 22/9641/9663
Version: 3.3.1
Full version: 3.3.1-15676-g9b1861a104
Comment: -gl -Fl/usr/lib/gcc/x86_64-redhat-linux/8/32 -Fl/home/muller/sys-root/i386-linux/lib -Fl/home/muller/sys-root/i386-linux/usr/lib -gh -Fd
Machine: gcc187
Category: 1
SVN revisions: 9b1861a104:d6cf3c98d0:e657d6a07d:485b31de21
Submitter: pierre
Date: 2024/05/08 01:55:00 <> 2024/05/07
Previous run: 946993
Next run: 948403

Hide skipped tests

Hide successful tests

Test file "tbs/tb0101.pp" information:

t_id 349
t_adddate 2003/10/03
t_result 0
t_knownrunerror 0

Detailed test run results:

tr_idruntr_oktr_skiptr_result
522767552947749TrueFalseSuccessfully run

Record count: 1

No log of 947749.

Source:

{ Old file: tbs0120.pp }
{ inc/dec(enumeration) doesn't work                     OK 0.99.6 (MVC) }

type
   te = (enum1,enum2,enum3);

var
   e,f : te;

begin
   e:=enum1;
   inc(e);
   f:=enum3;
   dec(f);
   if e<>f then
    halt(1);
end.

Link to SVN view of tbs/tb0101.pp source.