Test suite results for test file webtbs/tw2892.pp

Test run data :

Run ID:
Operating system: linux
Processor: powerpc
Version: 3.3.1
Fails/OK/Total: 44/9189/9233
Version: 3.3.1
Full version: 3.3.1-15623-g6a109634b0
Comment: -Xd -Fl/usr/lib32 -Fd -Fl/usr/lib/gcc/powerpc64-linux-gnu/13/32 -Fd
Machine: gcc203
Category: 1
SVN revisions: e601156b8c:0655b342d4:7629cdb4a5:6a109634b0
Submitter: pierre
Date: 2024/04/27 17:14:00
Previous run: 939145
Next run: 941876

Hide skipped tests

Hide successful tests

Test file "webtbs/tw2892.pp" information:

t_id 1231
t_adddate 2004/01/14
t_result 0
t_knownrunerror 0

Detailed test run results:

tr_idruntr_oktr_skiptr_result
477995535940507TrueFalseSuccessfully run

Record count: 1

No log of 940507.

Source:

{ Source provided for Free Pascal Bug Report 2892 }
{ Submitted by "Eric Grange" on  2004-01-12 }
{ e-mail: egrange@glscene.org }

{$mode delphi}

type
   TAffineVector = array [0..2] of Single;
   TVector = array [0..3] of Single;

function VectorMake(const v : TAffineVector; w : Single = 0) : TVector; overload;
begin
end;

function VectorMake(const x, y, z: Single; w : Single = 0) : TVector; overload;
begin
end;

var
   avec : TAffineVector;
   vec : TVector;
begin
   vec:=VectorMake(avec);
end.

Link to SVN view of webtbs/tw2892.pp source.