Test suite results for test file test/cg/tis.pp

Test run data :

Run ID:
Operating system: linux
Processor: powerpc
Version: 3.3.1
Fails/OK/Total: 240/8988/9228
Version: 3.3.1
Full version: 3.3.1-15587-g490a8c68ea-unpushed
Comment: -XR/home/muller/sys-root/powerpc-linux -Xd -Xr/home/muller/sys-root/powerpc-linux
Machine: cfarm13
Category: 1
SVN revisions: 490a8c68ea:8b7dbb81b1:3f8bbd3b00:2f9ed0576e
Submitter: muller
Date: 2024/04/19 11:25:00
Previous run: 934309
Next run: 935650

Hide skipped tests

Hide successful tests

Test file "test/cg/tis.pp" information:

t_id 186
t_adddate 2003/10/03
t_result 0
t_knownrunerror 0

Detailed test run results:

tr_idruntr_oktr_skiptr_result
443736021934979TrueFalseSuccessfully run

Record count: 1

No log of 934979.

Source:

{****************************************************************}
{  CODE GENERATOR TEST PROGRAM                                   }
{****************************************************************}
{ NODE TESTED : secondis()                                       }
{****************************************************************}
{ PRE-REQUISITES: secondload()                                   }
{                 secondassign()                                 }
{                 secondcalln()                                  }
{                 secondinline()                                 }
{                 secondadd()                                    }
{****************************************************************}
{ DEFINES:                                                       }
{****************************************************************}
{ REMARKS:                                                       }
{****************************************************************}
program tis;

{$mode objfpc}


type
{$ifndef fpc}
  smallint = integer;
{$endif}

 tclass1 = class
 end;


 tclass2 = class(tclass1)
 end;

 tclass3 = class
 end;



var
 myclass1 : tclass1;
 myclass2 : tclass2;
 myclass3 : tclass3;
 class1 : class of tclass1;


procedure fail;
begin
  WriteLn('Failure.');
  halt(1);
end;



  function getclass1 : tclass1;
   begin
     getclass1:=myclass1;
   end;

  function getclass2 : tclass2;
   begin
     getclass2:=myclass2;
   end;

  function getclass3 : tclass3;
   begin
     getclass3:=myclass3;
   end;

{ possible types : left : LOC_REFERENCE, LOC_REGISTER }
{ possible types : right : LOC_REFERENCE, LOC_REGISTER }
var
 failed : boolean;
 myclass4 : class of tclass1;
begin
  failed := false;
  { create class instance }
  myclass1:=tclass1.create;
  myclass2:=tclass2.create;
  myclass3:=tclass3.create;
  {if myclass1 is tclass1 }
  Write('Testing left/right : LOC_REGISTER/LOC_REGISTER...');
  if not(getclass1 is tclass1) then
    failed := true;
  if (getclass1 is tclass2) then
    failed := true;
  if not (getclass2 is tclass2) then
    failed := true;
  if (getclass1 is tclass2) then
    failed := true;

  if failed then
    Fail
  else
    WriteLn('Passed!');

  failed := false;
  Write('Testing left/right : LOC_REFERENCE/LOC_REGISTER...');
  if not(myclass1 is tclass1) then
    failed := true;
  if (myclass1 is tclass2) then
    failed := true;
  if not (myclass2 is tclass2) then
    failed := true;
  if (myclass1 is tclass2) then
    failed := true;

  if failed then
    Fail
  else
    WriteLn('Passed!');


  failed := false;
  Write('Testing left/right : LOC_REFERENCE/LOC_REFERENCE...');
  if (myclass1 is class1) then
    failed := true;
  if failed then
    Fail
  else
    WriteLn('Passed!');
end.

{

 $Log: tis.pp,v $
 Revision 1.3  2002/09/07 15:40:56  peter
   * old logs removed and tabs fixed

 Revision 1.2  2002/03/22 21:32:23  carl
 + added test LOC_REFERENCE/LOC_REFERENCE (thanks to Florian)

 Revision 1.1  2002/03/21 20:16:23  carl
 + is operator testing


}

Link to SVN view of test/cg/tis.pp source.