Test suite results for test file test/cg/tloadvmt.pp

Test run data :

Run ID:
Operating system: linux
Processor: x86_64
Version: 3.3.1
Fails/OK/Total: 32/8164/8196
Version: 3.3.1
Full version: 3.3.1
Comment: -Cg -O4 -Criot -Fd
Machine: gcc121
Category: 1
SVN revisions: 20:45361:1:45356:1:45359:1:45360
Submitter: pierre
Date: 2020/05/14 02:18:00
Previous run: 497745
Next run: 498076

Hide skipped tests

Hide successful tests

Test file "test/cg/tloadvmt.pp" information:

t_id 188
t_adddate 2003/10/03
t_result 0
t_knownrunerror 0

Detailed test run results:

tr_idruntr_oktr_skiptr_result
-2127976520497919TrueFalseSuccessfully run

Record count: 1

No log of 497919.

Source:

{****************************************************************}
{  CODE GENERATOR TEST PROGRAM                                   }
{  By Carl Eric Codere                                           }
{****************************************************************}
{ NODE TESTED : secondloadvmt()                                  }
{****************************************************************}
{ DEFINES:                                                       }
{            FPC     = Target is FreePascal compiler             }
{****************************************************************}
{ REMARKS : Tested with Delphi 3 as reference implementation     }
{****************************************************************}
program tloadvmt;

{$ifdef fpc}
{$mode objfpc}
{$endif}

const
  RESULT_STRING = 'Hello world';

Type
  TAObject = class(TObject)
    a : longint;
    end;
  TBObject = Class(TAObject)
    b : longint;
    s : shortstring;
      constructor create(c: longint);
      function getstring : shortstring;
    end;


    procedure fail;
    begin
      WriteLn('Failure.');
      halt(1);
    end;


 constructor tbobject.create(c:longint);
  begin
    taobject.create;
    b:=c;
    s:=RESULT_STRING;
  end;

 function tbobject.getstring : shortstring;
  begin
    getstring := s;
  end;


var
 bobj: TBobject;
 i: integer;
 l : longint;
Begin
  i:=$7f;
  Write('Secondloadvmt test...');
  bobj:=TBobject.create(i);
  if bobj.getstring <> RESULT_STRING then
    fail
  else
    WriteLn('Success!');
end.

{
  $Log: tloadvmt.pp,v $
  Revision 1.2  2002/09/07 15:40:56  peter
    * old logs removed and tabs fixed

  Revision 1.1  2002/08/10 08:27:43  carl
    + mre tests for cg testuit

}

Link to SVN view of test/cg/tloadvmt.pp source.